CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 自动 售货机

搜索资源列表

  1. SELLER

    1下载:
  2. 基于verilog HDL的自动售货机控制电路设计: 可以对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机可以接受1元,5角,1角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号Ia,Ib,Ic,Id,Ie和一个放弃信号In,输出指示信号为 Sa, Sb ,Sc ,Sd, Se
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1776
    • 提供者:chenyi
  1. verilog自动售货机

    1下载:
  2. 用Verilog实现的自动售货机,编译通过
  3. 所属分类:VHDL编程

    • 发布日期:2010-01-11
    • 文件大小:45716
    • 提供者:nkuxh@126.com
  1. fpga自动售货机verilog

    0下载:
  2. 自动售货机
  3. 所属分类:DSP编程

  1. hw8.rar

    1下载:
  2. Verilog中经典的自动售货机的源代码,包含测试程序,Vending machine in the classic Verilog source code, including test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:45716
    • 提供者:萧晓
  1. softdrink_testbench

    0下载:
  2. 一种可应用于自动售货机的状态机的verilog HDL描述-Verilog HDL descr iption of a state machine used in vending machines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:1073
    • 提供者:pppp
  1. softdrink

    0下载:
  2. 自动售货机实现,采用VERILOG语言编写源码,与大家分享,共大家参考-Vending machine implementation, the use of language VERILOG source to share with you a total of U.S. reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:635
    • 提供者:wangdali
  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:418912
    • 提供者:Zeng jinqiang
  1. shouhuoji

    0下载:
  2. 用Verilog语言实现自动售货机,外加实现课件-Automatic vending machines with the Verilog language, along with realization of courseware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:664533
    • 提供者:万小中
  1. zidongshouhuojisheji

    1下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited system of state, state, the v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:34587
    • 提供者:高菲悦
  1. dispenser-verilog-implement

    0下载:
  2. 用verilog实现自动售货机的买卖过程,其中包括投了钱却不想买东西,投了太多的钱等特殊情况-dispender implement by verilog it is mainly for verilog beginner.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:13444
    • 提供者:
  1. verilogClassicSamples

    0下载:
  2. verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1278470
    • 提供者:ZhangYan
  1. Verilog

    0下载:
  2. 设计一个自动售货机,此机能出售1元、2元、5元、10元的四种商品。用于modelsim verilog 语言的编写-To design a vending machine, this function is the sale of 1 yuan, 2 yuan, 5 yuan, 10 yuan of the four commodities. The sale of what kind of goods to the customer pressing a button and digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:43459
    • 提供者:guzhou
  1. Verilog

    0下载:
  2. 自动售货机,乐曲演奏电路,4 位数字频率计等详细程序代码-Detailed code of vending machines, the music playing circuit, four digital frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:181020
    • 提供者:张娜
  1. verilog

    0下载:
  2. 一些基本的Verilog 代码 包括基本的分频器设计,交通灯设计,自动售货机设计,有限状态机的设计-Some basic Verilog For freshman
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:3667
    • 提供者:Jim Green
  1. 饮料售货机verilog

    0下载:
  2. 设计一个自动售货机系统,每份5分钱的饮料,只能投1分、2分、5分硬币,要求正确地找回钱数。
  3. 所属分类:VHDL编程

  1. Auto_seller_1.0

    0下载:
  2. verilog 自动售货机程序,实现选货,投币,购买找零,退币等功能-verilog ASM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:26046
    • 提供者:
  1. Verilog

    0下载:
  2. Verilog课程设计自动售货机 1)设计一个自动售货机,此机能出售1.5元、2元两种商品。出售哪种商品可有顾客按动相应的一个按键即可,并同时用数码管显示出此商品的价格。可同时购买两种、多件商品。 2)顾客投入硬币的钱数有5角、1元两种。此操作通过按动相应的两个按键来模拟,并同时用数码管将投币额显示出来。 3)顾客投币后,按一次确认键,如果投币额不足时则报警灯亮。如果投币额足够时自动送出货物(送出的货物用相应不同的指示灯显示来模拟),同时多余的钱应找回,找回的钱数用数码管
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:83927
    • 提供者:卢晨旭
  1. autosell-verilog

    0下载:
  2. 实现简单自动售货机的基本功能。投币找零功能,并用Led数码管显示,输出结果用Led显示。-Basic functions simple vending machines. Coin change for function and use Led digital tube display, the output display Led.
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:10710
    • 提供者:苏晨路
  1. 基于FPGA的自动售货机毕业设计

    0下载:
  2. 基于FPGA的自动售货机,采用quartus 2 编写,方便可用(Vending machine based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:208896
    • 提供者:福猪公爵
  1. autosell

    0下载:
  2. 基于FPGA的自动售货机,有两种商品,每种都是1.5元,可以投入1元和五角两种货币。(A vending machine based on FPGA,)
  3. 所属分类:系统设计方案

    • 发布日期:2018-05-03
    • 文件大小:1164288
    • 提供者:kanydd
« 12 3 »
搜珍网 www.dssz.com